当前位置:百科知识 > EDA技术试题

问题描述:

[单选] 在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0to127,下面哪个赋值语句是正确的。()。
A.idata:=32 B.idata<=16#A0# C.idata<=16#7#E1 D.idata:=B#1010#
参考答案:查看
答案解析:

随机题目